Welcome![Sign In][Sign Up]
Location:
Search - VHDL for stepper motor control

Search list

[Other resourceCPLDxiaoche

Description: 智能机器小车主要完成寻迹功能,由机械结构和控制单元两个部分组成。机械结构是一个由底盘、前后辅助轮、控制板支架、传感器支架、左右驱动轮、步进电机等组成。控制单元部分主要由主要包含传感器及其调理电路、步进电机及驱动电路、控制器三个部分。本设计的核心为控制器部分,采用Altera MAX7000S系列的EPM7064LC84-15作主控芯片。CPLD芯片的设计主要在MAX+plusⅡ10.0环境下利用VHDL语言编程实现。驱动步进电机电路主要利用ULN2803作为驱动芯片。 -intelligent machines trolley track of the major functions by mechanical structure and control modules of two components. Mechanical structure is a chassis, after supporting wheels, the control panel stent, sensors stent, driving wheel around, Stepper motors, and other components. Some of the main control unit from the mainly contains sensors and conditioning circuits, and stepper motor drive circuit, the controller of three parts. The design for the core controller, Altera MAX7000S the EPM7064LC84-15 for the control chip. CPLD chip design mainly in MAX II plus 10.0 environment using VHDL programming. Stepper motor driver circuit using mainly driven ULN2803 chip.
Platform: | Size: 1369 | Author: lili | Hits:

[Embeded-SCM DevelopVHDL_stepper-motor

Description: 用于CPLD的控制,VHDL编程,实现控制三相步进电机的正反转。-control for CPLD, VHDL programming, control of three-phase stepper motor rotating direction.
Platform: | Size: 281581 | Author: 胡迪 | Hits:

[Other resourcestep_motor.vhd

Description: 用VHDL编写的步进电机控制方法.供大家参考用.-prepared using VHDL stepper motor control methods. For your reference.
Platform: | Size: 1061 | Author: lfy | Hits:

[Othermotorctrl

Description: 滤波器设计及数据采集系统,希望对你有用,欢迎分享。本人想要VHDL的步进电机控制代码-filter design and data acquisition systems in the hope that useful to you, welcome to share. I want VHDL code stepper motor control
Platform: | Size: 251904 | Author: 代松洮 | Hits:

[VHDL-FPGA-VerilogCPLDxiaoche

Description: 智能机器小车主要完成寻迹功能,由机械结构和控制单元两个部分组成。机械结构是一个由底盘、前后辅助轮、控制板支架、传感器支架、左右驱动轮、步进电机等组成。控制单元部分主要由主要包含传感器及其调理电路、步进电机及驱动电路、控制器三个部分。本设计的核心为控制器部分,采用Altera MAX7000S系列的EPM7064LC84-15作主控芯片。CPLD芯片的设计主要在MAX+plusⅡ10.0环境下利用VHDL语言编程实现。驱动步进电机电路主要利用ULN2803作为驱动芯片。 -intelligent machines trolley track of the major functions by mechanical structure and control modules of two components. Mechanical structure is a chassis, after supporting wheels, the control panel stent, sensors stent, driving wheel around, Stepper motors, and other components. Some of the main control unit from the mainly contains sensors and conditioning circuits, and stepper motor drive circuit, the controller of three parts. The design for the core controller, Altera MAX7000S the EPM7064LC84-15 for the control chip. CPLD chip design mainly in MAX II plus 10.0 environment using VHDL programming. Stepper motor driver circuit using mainly driven ULN2803 chip.
Platform: | Size: 1024 | Author: lili | Hits:

[VHDL-FPGA-Verilogdianji

Description: 基于FPGA系统的步进电机控制,内涵详细的源代码-FPGA-based system of stepper motor control, detailed content of the source code! !
Platform: | Size: 63488 | Author: 刘嵘 | Hits:

[Embeded-SCM DevelopVHDL_stepper-motor

Description: 用于CPLD的控制,VHDL编程,实现控制三相步进电机的正反转。-control for CPLD, VHDL programming, control of three-phase stepper motor rotating direction.
Platform: | Size: 281600 | Author: 胡迪 | Hits:

[VHDL-FPGA-Verilogstep_motor.vhd

Description: 用VHDL编写的步进电机控制方法.供大家参考用.-prepared using VHDL stepper motor control methods. For your reference.
Platform: | Size: 1024 | Author: lfy | Hits:

[VHDL-FPGA-Verilogbujidianjikongzhi

Description: 为vhdl写的步进电机控制程序。希望对大家有用-VHDL written for stepper motor control program. Hope useful for everyone
Platform: | Size: 1024 | Author: 毛意亮 | Hits:

[VHDL-FPGA-Verilogstep_motor

Description: 步进电机定位控制系统VHDL程序,可以进行步进角的倍数设定,激磁方式的选择-Stepper motor positioning control system for VHDL process can be carried out in multiples of step angle setting, the choice of excitation mode
Platform: | Size: 4096 | Author: wavy | Hits:

[VHDL-FPGA-Verilog76_PID

Description: 一个非常好的电机转速控制器VHDL源代码设计-A very good motor speed controller VHDL design source code
Platform: | Size: 2048 | Author: linew | Hits:

[VHDL-FPGA-Verilogstep_motor

Description: 本程序设计一个基于FPGA的4相步进电机定位控制系统。由步进电机方向设定电路模块、步进电机步进移动与定位控制模块和编码输出模块构成。前两个模块完成电机旋转方向设定,激磁方式设定和定位角度的换算等工作,后一个模块用于对换算后的角度量编码输出。-This procedure to design an FPGA-based 4-phase stepper motor positioning control system. Direction set by the stepper motor circuit module, stepper motor stepper movement and positioning control module and the code output modules. The first two modules complete the motor rotation direction setting, exciting way of setting the angle and positioning of the conversion work, after a module for the point of view of the volume of converted output encoding.
Platform: | Size: 165888 | Author: yato_logo | Hits:

[SCMmotor

Description: ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码-ALTEA EPM7128 CPLD is used to control the stepper motor of three-dimensional VHDL source code
Platform: | Size: 2048 | Author: lauking | Hits:

[VHDL-FPGA-Verilogbujindianji

Description: vhdl代码!步进电机定位控制系统VHDL程序与仿真!初学者可以参考参考-VHDL code! Stepper motor positioning control system and simulation of VHDL procedures! Beginners can refer to reference
Platform: | Size: 5120 | Author: daxiadian2 | Hits:

[VHDL-FPGA-Verilogchengxu

Description: 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control procedures, MSK modulation and demodulation control procedures, procedures for elevator control, TLC5510 control procedures, base-band code generator procedures, organ procedures vending machine procedures, electronic clock procedures, stepper motor control of positioning systems, waveform generator, Taximeter, ADCO809
Platform: | Size: 1277952 | Author: chenjy | Hits:

[VHDL-FPGA-VerilogOpCtrl

Description: 步进电机的转动控制程序,可用于变速,和编码器混合使用-Stepper motor rotation control program can be used for variable speed, and mixed use encoder
Platform: | Size: 1024 | Author: 强强 | Hits:

[VHDL-FPGA-Verilogcounter

Description: 基于VHDL的计数代码,可用于FPGA芯片对步进电机的控制-Count based on VHDL code for FPGA chips can be used to control stepper motor
Platform: | Size: 1024 | Author: sun | Hits:

[VHDL-FPGA-VerilogSteppermotor

Description: 步进电机基于PWM的控制,可以实现起动,制动,调速等等的功能,着实是初学者的一大福音-PWM-based control of stepper motor can be achieved starting, braking, speed, and so the function is, indeed, a boon for beginners
Platform: | Size: 5120 | Author: victor | Hits:

[VHDL-FPGA-Verilog4

Description: VHDL CODE FOR stepper motor control
Platform: | Size: 2048 | Author: Md.Maksudur Rahman | Hits:

[VHDL-FPGA-Verilogmotor_sm

Description: 此程序为步进电机的VHDL程序,可以实现对步进电机的控制-This procedure for stepper motor VHDL program can control the stepper motor
Platform: | Size: 1024 | Author: zoumo | Hits:

CodeBus www.codebus.net